Check out the new USENIX Web site. next up previous
Next: About this document ... Up: Tuning Branch Predictors to Previous: Conclusion

Bibliography

1
T. Lindholm, F. Yellin, The Java Virtual Machine Specification, Addison Wesley, 1997.

2
A. Krall and R. Grafl, "CACAO - a 64 bit JavaVM just-in-time compiler", Concurrency: Practice and Experience, 9(11):1017-1030, 1997.

3
B. Venners, "Under the hood: Java's garbage-collected heap",
https://www.javaworld.com/javaworld/jw-08-1996/jw-08-gc.html.


4
M. Wolckzo, "Benchmarking Java with Richards and DeltaBlue", Sun Microsystems.
https://www.sunlabs.com/people/mario/
java_benchmarking/index.html

5
U. Holzle, C. Chambers, and D. Ungar, "Optimizing Dynamically-Typed Object-Oriented Programming Languages with Polymorphic Inline Caches" Proceedings of ECOOP '91.

6
J. E. Smith, "A study of branch prediction strategies", Proc. 8th Annual Intl Symposium on Computer Architecture, pp. 135-148, 1981.

7
T. Yeh and Y. N. Patt, " Two-level adaptive branch prediction", Proc. of the 24th ACM/IEEE Intl Symposium on Microarchitecture, pp 51-61, 1991.

8
R. Nair, "Dynamic path-based branch correlation", Proc. of the 28th ACM/IEEE Intl Symposium on Microarchitecture, pp 15-23, 1995.

9
C. A. Hsieh et. al., " A study of cache and branch performance issues with running Java on current hardware platforms", Proc. of COMPCON, Feb 1997, pp. 211-216.

10
T. H. Romer et. al., "The Structure and Performance of Interpreters", Proceedings of ASPLOS VII, 1996, pp. 150-159.

11
D. Griswold, "Breaking the speed barrier: the future of Java performance", JavaOne Worldwide Java Developer Conference, 1997.

12
T. R. Halfhill, Intel's P6, Byte Magazine, April 1995.
https://www.byte.com/art/9504/sec7/art1.htm

13
N. Vijaykrishnan, N. Ranganathan and R. Gadekarla, "Object-Oriented architectural support for a Java processor architecture", Proc. of the 12th European Conference on Object-Oriented Programming, July 1998.

14
J. A. Dean, Whole-Program optimization of object-oriented languages, Ph.D Thesis, University of Washington, 1996.

15
J. Vitek, "Compact dispatch tables for dynamically typed programming languages", Object Applications, ed. D. Tsichitzis, University of Geneva, Centre Universitaire d'Informatique, Aug. 1996.

16
C. Young, N. Gloy and M. D. Smith, "A comparative analysis of schemes for correlated branch prediction", Proc. of the 22nd Annual Intl Symposium on Computer Architecture, June 1995.

17
P. Y. Chang, E. Hao and Y. Patt, "Target prediction for indirect jumps", Proc. of the 24th Annual Intl Symposium on Computer Architecture, 1997, pp. 274-283.

18
B. Calder and D. Grunwald, "Reducing indirect function call overhead in C++ programs", Proc. of the 6th Intl Conference on Architectural Support for Programming Languages and Operating Systems, 1994.

19
J. Emer and N. Gloy, "A language for describing predictors and its application to automatic synthesis", Proc. of the 24th Annual Intl Symposium on Computer Architecture, July 1997.

20
K. Dreisen and U. Holzle, "Accurate indirect branch prediction", Proc. of the 25th Annual Intl Symposium on Computer Architecture, pp. 167-178, June 1998.

21
N. P. Jouppi and S. J. E. Wilton, "An enhanced access and cycle time model for on-chip caches", DEC- WRL Technical Report, 93.5, July 1994.



Vijaykrishnan Narayanan
1999-02-24