Check out the new USENIX Web site. next up previous
Next: About this document ... Up: AMP: Adaptive Multi-stream Prefetching Previous: Conclusions

Bibliography

1
A. Rogers and K. Li, ``Software support for speculative loads,'' in Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating System (ASPLOS), vol. 27(9), (New York, NY), pp. 38-50, ACM Press, 1992.

2
K. K. David Callahan and A. Porterfield, ``Software prefetching,'' in ACM SIGARCH Computer Architecture News, vol. 19(2), (New York, NY), pp. 40-52, ACM Press, 1991.

3
C. Metcalf, ``Data prefetching: a cost/performance analysis,'' 1993.

4
R. H. Patterson, G. A. Gibson, E. Ginting, D. Stodolsky, and J. Zelenka, ``Informed prefetching and caching,'' in SOSP, pp. 79-95, 1995.

5
T. Mowry and A. Gupta, ``Tolerating latency through software-controlled prefetching in shared-memory multiprocessors,'' Journal of Parallel and Distributed Computing, vol. 12, no. 2, pp. 87-106, 1991.

6
E. H. Gornish, E. D. Granston, and A. V. Veidenbaum, ``Compiler-directed data prefetching in multiprocessors with memory hierarchies,'' in Proceedings 1990 International Conference on Supercomputing, ACM SIGARCH Computer Architecture News, vol. 18(3), pp. 354-368, 1990.

7
T.-F. Chen and J.-L. Baer, ``Reducing memory latency via non-blocking and prefetching caches,'' in Proceedings of the 5th International Conference on Architectural Support for Programming Languages and Operating System (ASPLOS), vol. 27(9), (New York, NY), pp. 51-61, ACM Press, 1992.

8
C.-K. Luk and T. C. Mowry, ``Compiler-based prefetching for recursive data structures,'' in Architectural Support for Programming Languages and Operating Systems, pp. 222-233, 1996.

9
A. Roth, A. Moshovos, and G. S. Sohi, ``Dependence based prefetching for linked data structures,'' ACM SIGPLAN Notices, vol. 33, no. 11, pp. 115-126, 1998.

10
M. H. Lipasti, W. J. Schmidt, S. R. Kunkel, and R. R. Roediger, ``SPAID: Software prefetching in pointer- and call-intensive environments,'' in Proceedings of the 28th Annual IEEE/ACM International Symposium on Microarchitecture, pp. 231-236, 1995.

11
T. M. Kroeger, D. D. E. Long, and J. C. Mogul, ``Exploring the bounds of web latency reduction from caching and prefetching,'' in USENIX Symposium on Internet Technologies and Systems, 1997.

12
K. M. Curewitz, P. Krishnan, and J. S. Vitter, ``Practical prefetching via data compression,'' pp. 257-266, 1993.

13
J. Griffioen and R. Appleton, ``Reducing file system latency using a predictive approach,'' in USENIX Summer, pp. 197-207, 1994.

14
D. Kotz and C. S. Ellis, ``Practical prefetching techniques for parallel file systems,'' in Proceedings of the First International Conference on Parallel and Distributed Information Systems, pp. 182-189, IEEE Computer Society Press, 1991.

15
K. S. Grimsrud, J. K. Archibald, and B. E. Nelson, ``Multiple prefetch adaptive disk caching,'' IEEE Transactions on Knowledge and Data Engineering, vol. 5, no. 1, pp. 88-103, 1993.

16
S. Harizopoulos, C. Harizakis, and P. Triantafillou, ``Hierarchical caching and prefetching for high performance continuous media servers with smart disks,'' IEEE Concurrency, vol. 8, no. 3, pp. 16-22, 2000.

17
B. S. Gill and D. S. Modha, ``SARC: Sequential prefetching in adaptive replacement cache,'' in Proceedings of the USENIX 2005 Annual Technical Conference, pp. 293-308, 2005.

18
A. J. Smith, ``Cache memories,'' ACM Computing Surveys, vol. 14, no. 3, pp. 473-530, 1982.

19
F. Dahlgren, M. Dubois, and P. Stenström, ``Fixed and adaptive sequential prefetching in shared memory multiprocessors.,'' in ICPP, pp. 56-63, 1993.

20
M. K. Tcheun, H. Yoon, and S. R. Maeng, ``An adaptive sequential prefetching scheme in shared-memory multiprocessors.,'' in ICPP, pp. 306-313, 1997.

21
T. Cortes and J. Labarta, ``Linear aggressive prefetching: A way to increase the performance of cooperative caches,'' in Proceedings of the Joint International Parallel Processing Symposium and IEEE Symposium on Parallel and Distributed Processing, (San Juan, Puerto Rico), pp. 45-54, 1999.

22
J. W. C. Fu and J. H. Patel, ``Data prefetching in multiprocessor vector cache memories,'' in Proceedings of the 18th annual international symposium on computer architecture, (Toronto, Intario, Canada), pp. 54-63, 1991.

23
R. L. Lee, P.-C. Yew, and D. H. Lawrie, ``Data prefetching in shared memory multiprocessors.,'' in ICPP, pp. 28-31, 1987.

24
T.-F. Chen and J.-L. Baer, ``Effective hardware based data prefetching for high-performance processors.,'' IEEE Trans. Computers, vol. 44, no. 5, pp. 609-623, 1995.

25
F. Dahlgren and P. Stenström, ``Evaluation of hardware-based stride and sequential prefetching in shared-memory multiprocessors:,'' IEEE Transactions on Parallel and Distributed Systems, vol. 7, no. 4, pp. 385-398, 1996.

26
K. S. Grimsrud, J. K. Archibald, and B. E. Nelson, ``Multiple prefetch adaptive disk caching.,'' IEEE Trans. Knowl. Data Eng., vol. 5, no. 1, pp. 88-103, 1993.

27
D. Joseph and D. Grunwald, ``Prefetching using markov predictors,'' IEEE Transactions on Computers, vol. 48, no. 2, pp. 121-133, 1999.

28
J. S. Vitter and P. Krishnan, ``Optimal prefetching via data compression,'' Journal of the ACM, vol. 43, no. 5, pp. 771-793, 1996.

29
H. Lei and D. Duchamp, ``An analytical approach to file prefetching,'' in 1997 USENIX Annual Technical Conference, (Anaheim, California, USA), 1997.

30
P. Cao, E. W. Felten, A. R. Karlin, and K. Li, ``A study of integrated prefetching and caching strategies,'' in Measurement and Modeling of Computer Systems, pp. 188-197, 1995.

31
M. Kallahalla and P. J. Varman, ``Pc-opt: Optimal offline prefetching and caching for parallel i/o systems.,'' IEEE Trans. Computers, vol. 51, no. 11, pp. 1333-1344, 2002.

32
T. Kimbrel and A. R. Karlin, ``Near-optimal parallel prefetching and caching,'' in IEEE Symposium on Foundations of Computer Science, pp. 540-549, 1996.

33
T. Kimbrel, A. Tomkins, R. H. Patterson, B. Bershad, P. Cao, E. Felten, G. Gibson, A. R. Karlin, and K. Li, ``A trace-driven comparison of algorithms for parallel prefetching and caching,'' in Proceedings of the 1996 Symposium on Operating Systems Design and Implementation, pp. 19-34, USENIX Association, 1996.

34
P. Reungsang, S. K. Park, S.-W. Jeong, H.-L. Roh, and G. Lee, ``Reducing cache pollution of prefetching in a small data cache.,'' in ICCD, pp. 530-533, 2001.

35
P. Jain, S. Devadas, and L. Rudolph, ``Controlling cache pollution in prefetching with software-assisted cache replacement,'' Tech. Rep. CSG-462, M.I.T., 2001.

36
B. McNutt and S. Johnson, ``A standard test of I/O cache,'' in Proc. Comput. Measurements Group's 2001 Int. Conf., 2001.

37
Storage Performance Council, ``SPC Benchmark-1: Specification, version 1.10.1,'' September 2006.

38
B. S. Gill and D. S. Modha, ``WOW: Wide ordering of writes - combining spatial and temporal locality in non-volatile caches,'' in Proceedings of the 4th USENIX Conference on File and Storage Technologies (FAST), pp. 129-142, 2005.

39
Storage Performance Council, ``SPC Benchmark-2: Specification, version 1.2,'' September 2006.



root 2006-12-19